CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8 LED

搜索资源列表

  1. LED

    0下载:
  2. 单片机STC89C52的LED显示程序ORG 0000H AJMP 0030H ORG 0030H MAIN: CLR P2.0 选中p2.0 数码管左边的8字使能 CLR P2.1 选中p2.1 数码管左边的8字使能 CLR P2.2 选中p2.0 数码管左边的8字使能 CLR P2.3 选中p2.1 数码管左边的8字使能 -Monolithic integrated circuit STC89C52 LED demonstration pr
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:1272
    • 提供者:唐大维
  1. 2407

    0下载:
  2. TMS2407开发平台键盘LED试验,键盘输入0,1,2,3,4,5,6,7,8,9,a,b,c,d,e,f,LED输出0,1,2,3,4,5,6,7,8,9,-,E,H,L,NU-press the key and the leds display the key value! the key value is from:0,1,2,3,4,5,6,7,8,9,a,b,c,d,e,f the leds dislay the value as:0,1,2,3,4,5,6,7,8,9,-,
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-28
    • 文件大小:14001
    • 提供者:崔建
  1. led

    0下载:
  2. 根据键盘的控制,移动字符串Hello或者华东师范大学,行列键盘的7用于字符串左移,8用于字符串下移,9用于字符串右移,5用于字符串上移。按键每按一下向各自的方向移动一个点阵的位置。-Under the control of the keyboard, move the string Hello, or East China Normal University, the ranks of the keyboard for the string to the left of 7, 8 for st
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:44983
    • 提供者:陈永涛
  1. shumazhongxianshi

    0下载:
  2. 数码时钟显示,用8位LED软件译码动态显示,P0为字段码,P2为位选码,数码管共阳, key0为位选键;key1为加“1键;key2为减“1”键。-Digital clock display with 8 LED dynamic display software decoding, P0 code for the field, P2 election code for the digital, digital tube were positive, key0 bond election fo
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1166
    • 提供者:钟良孟
  1. 51-single-chip-proseor

    0下载:
  2. 基于51单片机的温度和电压采集与循环显示的控制程序,其中温度传感器为LMA95,采用I2C总线传输,显示器为8段LED数码管-51 single-chip-based temperature and voltage of the cycle of acquisition and display of the control procedures, including temperature sensors for LMA95, using I2C bus transmission, displa
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:8535
    • 提供者:胡斌
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. C

    0下载:
  2. 8AT89C52,ADC0809 8路数字电压表测量由A/D转换、数据处理及显示控制等组成,测量0~5V范围内的8路输入电压值,由4位共阳LED数码管轮流显示,最大分辨率0.01V,误差±0.02V。-8AT89C52, ADC0809 8 way digital voltage meter measured by A/D conversion, data processing and display control of the measurement range of 0 ~ 5V inpu
  3. 所属分类:C#编程

    • 发布日期:2017-04-15
    • 文件大小:27648
    • 提供者:李明
  1. led

    0下载:
  2. 在KEIL中与仿真器连接使用,可以在8段码中显示数字-In KEIL connected with the use of simulation, code can be shown in paragraph 8 figures
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3478
    • 提供者:小魏
  1. reclamaimagine

    0下载:
  2. a ICCAVR source code for a small led matrix it uses atmega8 at 8 mhz
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-25
    • 文件大小:45126
    • 提供者:johnnybravo
  1. ch451

    0下载:
  2. CH451的8*8 LED驱动器的键盘驱动程序-CH451 *88 of the LED driver keyboard driver
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:237606
    • 提供者:yang
  1. LED8_8_gpio

    0下载:
  2. 使用芯片为TI公司的DSP2812。该程序为通过DSP的GPIO制8*8LED点阵,用以显示不同的字符。-Using TI s chips for the DSP2812. Procedures for the adoption of the DSP s GPIO lattice 8* 8LED system to show different characters.
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:136562
    • 提供者:增强
  1. number

    0下载:
  2. AT89S52控制64*16双基色点阵led显示数字 数字通过自摸取出数组8*16 硬件环境:595,AT89S52,138-AT89S52 control 64* 16 pairs of color dot matrix display led digital自摸removed through an array of figures 8* 16 hardware environment: 595, AT89S52, 138
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:18225
    • 提供者:王波
  1. Led05222

    0下载:
  2. 为了实现让单片机显示阿拉伯数字的功能,让我们来实现最简单的数码管显示: 单个数码管的静态驱动。 AVR单片机的端口驱动能力高达20mA,可以直接驱动数码管。可以利用ATmeg48 单片机的PD端口刚好可以驱动数码管的8个段。 这个实验的目的是在单个数码管上依次显示0,1,2,3,4,5,6,7,8,9,A, B,C,D,E,F共16个字符,为显示十六进制数做好技术准备。-In order to achieve single-chip display Arabic nume
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:9844
    • 提供者:芳苹
  1. LED

    0下载:
  2. 系统实验板原理图掌握七段码显示器硬件线路原理掌握用HD7279A 芯片 实现显示的编程方法 HD7279A 是一片具有串行接口的,可同时驱动8 位共阴式数码管(或64 只独立LED)的 智能显示驱动芯片该芯片同时还可连接多达64 键的键盘矩阵 HD7279A 内部含有译码器可直接接受16 进制码HD7279A 还同时具有2 种译码方 式HD7279A 还具有多种控制指令如消隐闪烁左移右移段寻址等-System board schematic master code displ
  3. 所属分类:source in ebook

    • 发布日期:2017-04-03
    • 文件大小:7428
    • 提供者:wangdong
  1. RAM

    0下载:
  2. 这是用51单片机将数据写进ram62256中的程序+proteus仿真,另外还用8位led同时宣示八位二进制数!希望能够对单片机爱好者有所帮助!-This is a single chip using 51 data included in the ram62256 procedures+ proteus simulation, also led by an 8-bit binary number at the same time declaring eight! Single-chip lov
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:42871
    • 提供者:michael
  1. water_led

    1下载:
  2. 这是一个流水灯的程序,它能够实现8个LED灯的流水式点亮,可以根据频率来决定它流动的快慢-This is a process flow lights, it can achieve the 8 LED lights lit water type can be determined in accordance with the frequency of the speed of its flow
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:132094
    • 提供者:梁永安
  1. ledDZ

    0下载:
  2. led点阵 程序8*8led点阵led点阵程序8*8-led点阵led点阵程序8*8
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:10139
    • 提供者:秦华
  1. JLDLED

    0下载:
  2. 护栏管SD卡控制器资料LED点光源控制器,IC编程,6803。8脚、14脚、18脚LED护拦管内控制IC及线路板配件,根据客户要求增减花样,调整延时。LED效果图制作
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-27
    • 文件大小:703565
    • 提供者:QQ:1074714706
  1. dingshi

    1下载:
  2. 两个定时实验,1.具体要求:采用定时器T0的溢出中断,单片机P1.0接发光二极管LED0。T0的每一次中断,在中断处理程序中都会对LED0的状态做一次改变(P1.0输出信号进行反转)。 2.具体要求:定时器T1每0.05秒中断一次,单片机P1口接8个发光二极管LED0~LED7,编写程序,使发光二极管有规律地循环点亮。例如:开机后,第一秒钟LED0、LED2亮,第二秒钟LED1、LED3亮,第三秒钟LED4、LED6亮,第四秒钟LED5、LED7亮,第五秒钟LED0、LED2、LED4、LE
  3. 所属分类:SCM

    • 发布日期:2014-04-21
    • 文件大小:78976
    • 提供者:scarly
  1. LED

    0下载:
  2. 基于LPC2210通过GPIO直接控制8个LED产生流水灯效果-GPIO on LPC2210 through direct control of eight LED lights have the effect of flowing water
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:797
    • 提供者:朱禾乃
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »
搜珍网 www.dssz.com